Tools and methodologies for low power design

  • Authors:
  • Jerry Frenkil

  • Affiliations:
  • Sente, Inc., 3 Summer St., Chelmsford, MA

  • Venue:
  • DAC '97 Proceedings of the 34th annual Design Automation Conference
  • Year:
  • 1997

Quantified Score

Hi-index 0.00

Visualization

Abstract

Designing for low power has becomeincreasingly important in a wide variety ofapplications, including wireless telephony, mobilecomputing, high performance computing, and highspeed networking. Despite reductions in powersupply voltages, power consumption continues to riseand demands increased support from EDA tools andmethodologies. Various tools have emerged toaddress different levels of the power problem, yetconventional methodologies often focus on the lowleverage aspects. This paper will survey existingcommercial tools used in low power design andpresent them in the context of an architecture focusedlow power design methodology.