Managing leakage for transient data: decay and quasi-static 4T memory cells

  • Authors:
  • Zhigang Hu;Philo Juang;Phil Diodato;Stefanos Kaxiras;Kevin Skadron;Margaret Martonosi;Douglas W. Clark

  • Affiliations:
  • Princeton Univ., Princeton, NJ;Princeton Univ., Princeton, NJ;Agere Systems, Allentown, PA;Agere Systems, Allentown, PA;Univ. of Virginia, Charlottesville, VA;Princeton Univ., Princeton, NJ;Princeton Univ., Princeton, NJ

  • Venue:
  • Proceedings of the 2002 international symposium on Low power electronics and design
  • Year:
  • 2002

Quantified Score

Hi-index 0.00

Visualization

Abstract

Much of on-chip storage is devoted to transient, often short-lived, data. Despite this, virtually all on-chip array structures use six-transistor (6T) static RAM cells that store data indefinitely. In this paper we propose the use of quasi-static four-transistor (4T) RAM cells. Quasi-static 4T cells provide both energy and area savings. These cells have no connection to Vdd and thus inherently provide decay functionality: values are refreshed upon access but discharge over time without use. This makes 4T cells uniquely well-suited for predictive structures like branch predictors and BTBs where data integrity is not essential. We use quantitative evaluations (both circuit-level and cycle-level) to explore the design space and quantify the opportunities. Overall, 4T-based branch predictors offer 12-33% area savings and 60-80% leakage savings with minimal performance impact. More broadly, this paper suggests a new view of how to support transient data in power-aware processors.