An automatic testbench generation tool for a SystemC functional verification methodology

  • Authors:
  • Karina R. G. da Silva;Elmar U. K. Melcher;Guido Araujo;Valdiney Alves Pimenta

  • Affiliations:
  • Universidade Federal de Campina Grande, Campina Grande, Brasil;Universidade Federal de Campina Grande, Campina Grande, Brasil;Universidade Estadual de Campinas, Campinas, Brasil;Universidade Estadual de Campinas, Campinas, Brasil

  • Venue:
  • SBCCI '04 Proceedings of the 17th symposium on Integrated circuits and system design
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

The advent of new 90nm/130nm VLSI technology and SoC design methodologies, has brought an explosive growth in the complexity of modern electronic circuits. As a result, functional verification has become the major bottleneck in any design flow. New methods are required that allow for easier, quicker and more reusable verification. In this paper we propose an automatic verification methodology approach that enables fast, transaction-level, coverage-driven, self-checking and random-constraint functional verification. Our approach uses the SystemC Verification Library (SCV), to synthesize a tool capable of automatically generating testbench templates. A case study from a real MP3 design is used to show the effectiveness of our approach.