Exploration of distributed shared memory architectures for NoC-based multiprocessors

  • Authors:
  • Matteo Monchiero;Gianluca Palermo;Cristina Silvano;Oreste Villa

  • Affiliations:
  • Dipartimento di Elettronica e Informazione, Politecnico di Milano, I-20133 Milano, Italy;Dipartimento di Elettronica e Informazione, Politecnico di Milano, I-20133 Milano, Italy;Dipartimento di Elettronica e Informazione, Politecnico di Milano, I-20133 Milano, Italy;Dipartimento di Elettronica e Informazione, Politecnico di Milano, I-20133 Milano, Italy

  • Venue:
  • Journal of Systems Architecture: the EUROMICRO Journal
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

Multiprocessor system-on-chip (MP-SoC) platforms represent an emerging trend for embedded multimedia applications. To enable MP-SoC platforms, scalable communication-centric interconnect fabrics, such as networks-on-chip (NoCs), have been recently proposed. The shared memory represents one of the key elements in designing MP-SoCs to provide data exchange and synchronization support. This paper focuses on the energy/delay exploration of a distributed shared memory architecture, suitable for low-power on-chip multiprocessors based on NoC. A mechanism is proposed for the data allocation on the distributed shared memory space, dynamically managed by an on-chip hardware memory management unit (HwMMU). Moreover, the exploitation of the HwMMU primitives for the migration, replication, and compaction of shared data is discussed. Experimental results show the impact of different distributed shared memory configurations for a selected set of parallel benchmark applications from the power/-performance perspective. Furthermore, a case study for a graph exploration algorithm is discussed, accounting for the effects of the core mapping and the network topology on energy and performance at the system level.