Modeling and analysis of crosstalk for distributed RLC interconnects using difference model approach

  • Authors:
  • Ravindra V. R. Jayanthi;Srinivas Bala Mandalika

  • Affiliations:
  • International Institute of Information Technology, Hyderabad, India;International Institute of Information Technology, Hyderabad, India

  • Venue:
  • Proceedings of the 20th annual conference on Integrated circuits and systems design
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

On-chip inductive effects are becoming predominant in deepsubmicron (DSM) interconnects due to increasing clock speeds, circuit complexity and decreasing interconnect lengths. Inductance causes noise in the signal waveforms, which can adversely affect the performance of the circuit and signal integrity. The traditional analysis of crosstalk in a transmission line begins with a lossless LC representation, yielding a wave equation governing the system response. This paper proposes a difference model approach to derive crosstalk in the transform domain. A closed form solution for crosstalk is obtained by incorporating initial conditions using difference model approach for distributed RLC interconnects. Simulation results show that the effect of inductive coupling forlong interconnects is significant but is almost negligible for local interconnects. It is also shown that when inductance is neglected, the proposed model reduces to a lumped RC model. Also, the analytical model response agrees very well that obtained with SPICE. All the experiments have been carried out for 90nm technology node using Cadence.s Dynamic Circuit Simulator SPECTRE©.