Peak temperature control in thermal-aware behavioral synthesis through allocating the number of resources

  • Authors:
  • Junbo Yu;Qiang Zhou;Jinian Bian

  • Affiliations:
  • Tsinghua University, Beijing, China;Tsinghua University, Beijing, China;Tsinghua University, Beijing, China

  • Venue:
  • Proceedings of the 2009 Asia and South Pacific Design Automation Conference
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

High temperature adversely impacts on reliability, performance, and leakage power of ICs. In behavioral synthesis, both resource usage allocation and resource binding influence the final thermal profile. Previous thermal-aware behavioral syntheses only focused on binding, ignoring allocation. This paper proposes thermal-aware behavioral synthesis with resource usage allocation. According to power density and feedbacks from thermal simulation, we allocate the number of resources under area constraint. Our flow effectively controls peak temperature and creates even power densities among resources of "different" and "same" types. Compared to classic behavioral synthesis of peak temperature control, our technique reduces peak temperature by 11.1°C on average with no area overhead and only 1.2 more steps latency overhead.