Power invariant vector sequence compaction
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Experimental Evaluation of Approximation Algorithms for Single-Source Unsplittable Flow
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
MiCRoM: A Metric Distance to Compare Segmented Images
VISUAL '02 Proceedings of the 5th International Conference on Recent Advances in Visual Information Systems
Approximate join processing over data streams
Proceedings of the 2003 ACM SIGMOD international conference on Management of data
Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Semantic Approximation of Data Stream Joins
IEEE Transactions on Knowledge and Data Engineering
Temperature-aware resource allocation and binding in high-level synthesis
Proceedings of the 42nd annual Design Automation Conference
Peak temperature control and leakage reduction during binding in high level synthesis
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Temperature-aware routing in 3D ICs
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
A combinatorial algorithm for weighted stable sets in bipartite graphs
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction
Proceedings of the 2006 international symposium on Low power electronics and design
Thermal-aware high-level synthesis based on network flow method
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
Implementing approximation algorithms for the single-source unsplittable flow problem
Journal of Experimental Algorithmics (JEA)
Window join approximation over data streams with importance semantics
CIKM '06 Proceedings of the 15th ACM international conference on Information and knowledge management
A revisit to floorplan optimization by Lagrangian relaxation
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
A Network Flow Algorithm for Reconstructing Binary Images from Discrete X-rays
Journal of Mathematical Imaging and Vision
A Computational Study of Cost Reoptimization for Min-Cost Flow Problems
INFORMS Journal on Computing
Mobility Limited Flip-Based Sensor Networks Deployment
IEEE Transactions on Parallel and Distributed Systems
Design closure driven delay relaxation based on convex cost network flow
Proceedings of the conference on Design, automation and test in Europe
The re:search engine: simultaneous support for finding and re-finding
Proceedings of the 20th annual ACM symposium on User interface software and technology
Deploying Wireless Sensor Networks under Limited Mobility Constraints
IEEE Transactions on Mobile Computing
Meta-heuristics for stable scheduling on a single machine
Computers and Operations Research
Distributed task allocation in social networks
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Supporting self-organization for hybrid grid resource scheduling
Proceedings of the 2008 ACM symposium on Applied computing
Delay driven AIG restructuring using slack budget management
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Experiments with a hybrid interior point/combinatorial approach for network flow problems
Optimization Methods & Software
The Partial Augment---Relabel Algorithm for the Maximum Flow Problem
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Generic iterative subset algorithms for discrete tomography
Discrete Applied Mathematics
Solving modern mixed-size placement instances
Integration, the VLSI Journal
Network flow-based power optimization under timing constraints in MSV-driven floorplanning
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
An integrated approach to thermal management in high-level synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Integrated interlayer via planning and pin assignment for 3D ICs
Proceedings of the 11th international workshop on System level interconnect prediction
Two-Level Push-Relabel Algorithm for the Maximum Flow Problem
AAIM '09 Proceedings of the 5th International Conference on Algorithmic Aspects in Information and Management
Optimal Real-Time Traffic Control in Metro Stations
Operations Research
Quincy: fair scheduling for distributed computing clusters
Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles
Multicore parallel min-cost flow algorithm for CAD applications
Proceedings of the 46th Annual Design Automation Conference
A combinatorial algorithm for weighted stable sets in bipartite graphs
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
A minutia-based partial fingerprint recognition system
Pattern Recognition
HCV quasispecies assembly using network flows
ISBRA'08 Proceedings of the 4th international conference on Bioinformatics research and applications
Approximating hv-convex binary matrices and images from discrete projections
DGCI'08 Proceedings of the 14th IAPR international conference on Discrete geometry for computer imagery
Ab initio whole genome shotgun assembly with mated short reads
RECOMB'08 Proceedings of the 12th annual international conference on Research in computational molecular biology
Balanced allocation with succinct representation
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
History-based VLSI legalization using network flow
Proceedings of the 47th Design Automation Conference
Integration, the VLSI Journal
Fault tolerant optimal path for data delivery in wireless sensor networks
Proceedings of the 1st Amrita ACM-W Celebration on Women in Computing in India
Multicore parallelization of min-cost flow for CAD applications
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009
Updating Network Flows Given Multiple, Heterogeneous Arc Attribute Changes
Journal of Mathematical Modelling and Algorithms
Computers & Mathematics with Applications
Register pressure aware scheduling for high level synthesis
Proceedings of the 16th Asia and South Pacific Design Automation Conference
A direct barter model for course add/drop process
Discrete Applied Mathematics
Adaptive memory in multistart heuristics for multicommodity network design
Journal of Heuristics
Multi-unit differential auction-barter model for electronic marketplaces
Electronic Commerce Research and Applications
LEMON - an Open Source C++ Graph Template Library
Electronic Notes in Theoretical Computer Science (ENTCS)
Approximating bicolored images from discrete projections
IWCIA'11 Proceedings of the 14th international conference on Combinatorial image analysis
SIRALINA: efficient two-steps heuristic for storage optimisation in single period task scheduling
Journal of Combinatorial Optimization
The inventory-routing problem with transshipment
Computers and Operations Research
New algorithms for convex cost tension problem with application to computer vision
Discrete Optimization
Models and algorithms for robust network design with several traffic scenarios
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Constructing sensor barriers with minimum cost in wireless sensor networks
Journal of Parallel and Distributed Computing
Cost-aware caching algorithms for distributed storage servers
DISC'07 Proceedings of the 21st international conference on Distributed Computing
Resource allocation in underprovisioned multioverlay live video sharing services
Proceedings of the 2012 ACM workshop on Capacity sharing
Energy-efficient data redistribution in sensor networks
ACM Transactions on Sensor Networks (TOSN)
Multiple target tracking using frame triplets
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part III
Capacity-Constrained network-voronoi diagram: a summary of results
SSTD'13 Proceedings of the 13th international conference on Advances in Spatial and Temporal Databases
LatchPlanner: latch placement algorithm for datapath-oriented high-performance VLSI designs
Proceedings of the International Conference on Computer-Aided Design
Supervised feature selection in graphs with path coding penalties and network flows
The Journal of Machine Learning Research
Hi-index | 0.00 |