Using latency to evaluate interactive system performance
OSDI '96 Proceedings of the second USENIX symposium on Operating systems design and implementation
Affective computing
Closed-loop adaptive voltage scaling controller for standard-cell ASICs
Proceedings of the 2002 international symposium on Low power electronics and design
Pupil size variation as an indication of affective processing
International Journal of Human-Computer Studies - Application of affective computing in humanComputer interaction
Using User Interface Event Information in Dynamic Voltage Scaling
Using User Interface Event Information in Dynamic Voltage Scaling
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Measuring and Understanding User Comfort With Resource Borrowing
HPDC '04 Proceedings of the 13th IEEE International Symposium on High Performance Distributed Computing
An Energy-Aware Framework for Coordinated Dynamic Software Management in Mobile Computers
MASCOTS '04 Proceedings of the The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
Towards an index of opportunity: understanding changes in mental workload during task execution
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Vertigo: automatic performance-setting for Linux
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
Low Power Image Processing: Analog Versus Digital Comparison
CAMP '05 Proceedings of the Seventh International Workshop on Computer Architecture for Machine Perception
A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance
Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture
Eye movements and pupil dilation during event perception
Proceedings of the 2006 symposium on Eye tracking research & applications
Measuring emotional valence to understand the user's experience of software
International Journal of Human-Computer Studies
International Journal of Human-Computer Studies
IEEE Computer Architecture Letters
Automatic prediction of frustration
International Journal of Human-Computer Studies
Towards Scheduling Virtual Machines Based On Direct User Input
VTDC '06 Proceedings of the 2nd International Workshop on Virtualization Technology in Distributed Computing
PICSEL: measuring user-perceived performance to control dynamic frequency scaling
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
ITNG '08 Proceedings of the Fifth International Conference on Information Technology: New Generations
The handwave bluetooth skin conductance sensor
ACII'05 Proceedings of the First international conference on Affective Computing and Intelligent Interaction
Reducing energy consumption of computer display by camera-based user monitoring
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
EmNet: satisfying the individual user through empathic home networks: summary
ACM SIGMETRICS Performance Evaluation Review
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Display power management policies in practice
Proceedings of the 7th international conference on Autonomic computing
Emnet: satisfying the individual user through empathic home networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Designing heterogeneous embedded network-on-chip platforms with users in mind
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
User-centric design space exploration for heterogeneous network-on-chip platforms
Proceedings of the Conference on Design, Automation and Test in Europe
The potential of sampling for dynamic analysis
Proceedings of the ACM SIGPLAN 6th Workshop on Programming Languages and Analysis for Security
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
Lazy cache invalidation for self-modifying codes
Proceedings of the 2012 international conference on Compilers, architectures and synthesis for embedded systems
The case for psychological computing
Proceedings of the 14th Workshop on Mobile Computing Systems and Applications
SmartCap: user experience-oriented power adaptation for smartphone's application processor
Proceedings of the Conference on Design, Automation and Test in Europe
Hi-index | 0.00 |
Any architectural optimization aims at satisfying the end user. However, modern architectures execute with little to no knowledge about the individual user. If architectures could determine whether their users are satisfied, they could provide higher efficiency; improved reliability, reduced power consumption, increased security, and a better user experience. A major reason for this limitation is their input devices. Specifically, the traditional input devices (e.g., the mouse and keyboard) provide limited information about the user. In this paper, we make a case for the addition of new biometric input devices for providing the computer information about the user’s physiological traits. We explore three biometric devices as potential sensors: an eye tracker, a galvanic skin response (GSR) sensor, and force sensors. We first present two user studies that explore the link between the sensor readings and user satisfaction when the performance of the processor is varied as a video game is being played. In the first study, we drastically drop the processor clock frequency at a set point in the game. In the second study, we set the clock frequency to randomly-selected levels during game play. Both studies show that there are significant changes in human physiological traits as performance decreases. More importantly, we show that physiological changes correlate strongly to the satisfaction levels reported by the users. Based upon these observations, we construct a Physiological Traits-based Power-management (PTP) system that can be applied to existing dynamic voltage and frequency scaling (DVFS) schemes. We apply PTP to a typical CPU-utilization-based adaptive DVFS policy and evaluate our scheme using a third user study. An aggressive version of our PTP scheme reduces the total system power consumption of a laptop by up to 33.3% for an application averaged across users (18.1% averaged across three applications), while a conservative version reduces the total system power consumption by up to 25.6% across users (11.4% averaged across three applications).