Power analysis of embedded operating systems
Proceedings of the 37th Annual Design Automation Conference
Wattch: a framework for architectural-level power analysis and optimizations
Proceedings of the 27th annual international symposium on Computer architecture
Energy estimation tools for the Palm
Proceedings of the 3rd ACM international workshop on Modeling, analysis and simulation of wireless and mobile systems
High-level software energy macro-modeling
Proceedings of the 38th annual Design Automation Conference
PASTE '01 Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Run-time power estimation in high performance microprocessors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
The performance and energy consumption of three embedded real-time operating systems
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
The benefits of event: driven energy accounting in power-sensitive systems
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
Run-time modeling and estimation of operating system power consumption
SIGMETRICS '03 Proceedings of the 2003 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach
HPCA '02 Proceedings of the 8th International Symposium on High-Performance Computer Architecture
Energy-aware user interfaces: an evaluation of user acceptance
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Social Serendipity: Mobilizing Social Software
IEEE Pervasive Computing
Power prediction for intel XScale® processors using performance monitoring unit events
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Runtime identification of microprocessor energy saving opportunities
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
A run-time, feedback-based energy estimation model For embedded devices
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
MyExperience: a system for in situ tracing and capturing of user feedback on mobile phones
Proceedings of the 5th international conference on Mobile systems, applications and services
PICSEL: measuring user-perceived performance to control dynamic frequency scaling
Proceedings of the 13th international conference on Architectural support for programming languages and operating systems
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Applying models of user activity for dynamic power management in wireless devices
Proceedings of the 10th international conference on Human computer interaction with mobile devices and services
Power to the people: Leveraging human physiological traits to control microprocessor frequency
Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
Power consumption breakdown on a modern laptop
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Characterizing and modeling user activity on smartphones: summary
Proceedings of the ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Proceedings of the 8th international conference on Mobile systems, applications, and services
Find your flow: increasing flow experience by designing "human" embedded systems
Proceedings of the 47th Design Automation Conference
The green switch: designing for sustainability in mobile computing
SustainIT'10 Proceedings of the First USENIX conference on Sustainable information technology
Designing heterogeneous embedded network-on-chip platforms with users in mind
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
CODES/ISSS '10 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
A first look at traffic on smartphones
IMC '10 Proceedings of the 10th ACM SIGCOMM conference on Internet measurement
Energy-efficient mobile video management using smartphones
MMSys '11 Proceedings of the second annual ACM conference on Multimedia systems
Fine-grained power modeling for smartphones using system call tracing
Proceedings of the sixth conference on Computer systems
A system context-aware approach for battery lifetime prediction in smart phones
Proceedings of the 2011 ACM Symposium on Applied Computing
TailTheft: leveraging the wasted time for saving energy in cellular communications
MobiArch '11 Proceedings of the sixth international workshop on MobiArch
Chameleon: a color-adaptive web browser for mobile OLED displays
MobiSys '11 Proceedings of the 9th international conference on Mobile systems, applications, and services
Self-constructive high-rate system energy modeling for battery-powered mobile systems
MobiSys '11 Proceedings of the 9th international conference on Mobile systems, applications, and services
Dynamic backlight scaling optimization for mobile streaming applications
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
Studying the experience of mobile applications used in different contexts of daily life
Proceedings of the first ACM SIGCOMM workshop on Measurements up the stack
Where is the energy spent inside my app?: fine grained energy accounting on smartphones with Eprof
Proceedings of the 7th ACM european conference on Computer Systems
Proceedings of the 10th international conference on Mobile systems, applications, and services
Power conversion efficiency characterization and optimization for smartphones
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
Legends of descent: analytics in an ad-supported windows phone game
Proceedings of the first ACM international workshop on Mobile gaming
Understanding energy consumption of UHF RFID readers for mobile phone sensing applications
Proceedings of the seventh ACM international workshop on Wireless network testbeds, experimental evaluation and characterization
DevScope: a nonintrusive and online power analysis tool for smartphone hardware components
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
An empirical energy model for secure Web browsing over mobile devices
Security and Communication Networks
Network performance of smart mobile handhelds in a university campus WiFi network
Proceedings of the 2012 ACM conference on Internet measurement conference
Screen-off traffic characterization and optimization in 3G/4G networks
Proceedings of the 2012 ACM conference on Internet measurement conference
Proceedings of the International Conference on Computer-Aided Design
ACM Transactions on Embedded Computing Systems (TECS) - Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
A Study of Reusing Smartphones to Augment Elementary School Education
International Journal of Handheld Computing Research
Computational sprinting on a hardware/software testbed
Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
TEAPOT: a toolset for evaluating performance, power and image quality on mobile graphics systems
Proceedings of the 27th international ACM conference on International conference on supercomputing
Characterizing and modeling the impact of wireless signal strength on smartphone battery drain
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
iLauncher: an intelligent launcher for mobile apps based on individual usage patterns
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Comparing mobile applications' energy consumption
Proceedings of the 28th Annual ACM Symposium on Applied Computing
V-edge: fast self-constructive power modeling of smartphones based on battery voltage dynamics
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
eDoctor: automatically diagnosing abnormal battery drain issues on smartphones
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
SmartCap: user experience-oriented power adaptation for smartphone's application processor
Proceedings of the Conference on Design, Automation and Test in Europe
Energy aware self-adaptation in mobile systems
Proceedings of the 2013 International Conference on Software Engineering
Opportunistic position update protocols for mobile devices
Proceedings of the 2013 ACM international joint conference on Pervasive and ubiquitous computing
PhoneLab: A Large Programmable Smartphone Testbed
Proceedings of First International Workshop on Sensing and Big Data Mining
A resource-driven DVFS scheme for smart handheld devices
ACM Transactions on Embedded Computing Systems (TECS)
Energy analysis and prediction for applications on smartphones
Journal of Systems Architecture: the EUROMICRO Journal
On the energy overhead of mobile storage systems
FAST'14 Proceedings of the 12th USENIX conference on File and Storage Technologies
Hi-index | 0.00 |
As the market for mobile architectures continues its rapid growth, it has become increasingly important to understand and optimize the power consumption of these battery-driven devices. While energy consumption has been heavily explored, there is one critical factor that is often overlooked -- the end user. Ultimately, the energy consumption of a mobile architecture is defined by user activity. In this paper, we study mobile architectures in their natural environment -- in the hands of the end user. Specifically, we develop a logger application for Android G1 mobile phones and release the logger into the wild to collect traces of real user activity. We then show how the traces can be used to characterize power consumption, and guide the development of power optimizations. We present a regression-based power estimation model that only relies on easily-accessible measurements collected by our logger. The model accurately estimates power consumption and provides insights about the power breakdown among hardware components. We show that energy consumption widely varies depending upon the user. In addition, our results show that the screen and the CPU are the two largest power consuming components. We also study patterns in user behavior to derive power optimizations. We observe that majority of the active screen time is dominated by long screen intervals. To reduce the energy consumption during these long intervals, we implement a scheme that slowly reduces the screen brightness over time. Our results reveal that the users are happier with a system that slowly reduces the screen brightness rather than abruptly doing so, even though the two schemes settle at the same brightness. Similarly, we experiment with a scheme that slowly reduces the CPU frequency over time. We evaluate these optimizations with a user study and demonstrate 10.6% total system energy savings with a minimal impact on user satisfaction.