Dominant critical gate identification for power and yield optimization in logic circuits

  • Authors:
  • Mihir Choudhury;Masoud Rostami;Kartik Mohanram

  • Affiliations:
  • ECE Dept, Rice University, Houston, TX, USA;ECE Dept, Rice University, Houston, TX, USA;ECE Dept, Rice University, Houston, TX, USA

  • Venue:
  • Proceedings of the 20th symposium on Great lakes symposium on VLSI
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

With increasing process variations, low-VT swapping is an effective technique that can be used to improve timing yield without having to modify a design following placement and routing. Gate criticality, defined as the probability that a gate lies on a critical path, forms the basis for existing low-VT swapping techniques. This paper presents a simulation-based study that challenges the effectiveness of low-VT swapping based on the conventional definition of gate criticality, especially as random process variations increase with technology scaling. We introduce dominant gate criticality to address the drawbacks of the conventional definition of gate criticality, and formulate dominant critical gate ranking in the presence of process variations as an optimization problem. Simulation results for 12 benchmark circuits from the ISCAS and OpenSPARC suites to achieve timing yields of 95% and 98% indicate that low-VT swapping based on dominant gate criticality reduces leakage power overhead by 61% and 42% for independent and correlated process variations, respectively, over low-VT swapping based on conventional gate criticality.