Synchroscalar: initial lessons in power-aware design of a tile-based embedded architecture

  • Authors:
  • John Oliver;Ravishankar Rao;Paul Sultana;Jedidiah Crandall;Erik Czernikowski;Leslie W. Jones;Dean Copsey;Diana Keen;Venkatesh Akella;Frederic T. Chong

  • Affiliations:
  • University of California at Davis;University of California at Davis;University of California at Davis;University of California at Davis;University of California at Davis;California Polytechnic State University, San Luis Obispo;University of California at Davis;California Polytechnic State University, San Luis Obispo;University of California at Davis;University of California at Davis

  • Venue:
  • PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

Embedded devices have hard performance targets and severe power and area constraints that depart significantly from our design intuitions derived from general-purpose microprocessor design. This paper describes our initial experiences in designing Synchroscalar, a tile-based embedded architecture targeted for multi-rate signal processing applications. We present a preliminary design of the Synchroscalar architecture and some design space exploration in the context of important signal processing kernels. In particular, we find that synchronous design and substantial global interconnect are desirable in the low-frequency, low-power domain. This global interconnect enables parallelization and reduces processor idle time, which are critical to energy efficient implementations of high bandwidth signal processing. Furthermore, statically-scheduled communication and SIMD computation keep control overheads low and energy efficiency high.