Cross-Level compositional reliability analysis for embedded systems

  • Authors:
  • Michael Glaß;Heng Yu;Felix Reimann;Jürgen Teich

  • Affiliations:
  • Hardware/Software Co-Design, Department of Computer Science, University of Erlangen-Nuremberg, Germany;Hardware/Software Co-Design, Department of Computer Science, University of Erlangen-Nuremberg, Germany;Hardware/Software Co-Design, Department of Computer Science, University of Erlangen-Nuremberg, Germany;Hardware/Software Co-Design, Department of Computer Science, University of Erlangen-Nuremberg, Germany

  • Venue:
  • SAFECOMP'12 Proceedings of the 31st international conference on Computer Safety, Reliability, and Security
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Ever shrinking device structures are one of the main reasons for a growing inherent unreliability of embedded system components. As a remedy, various means to increase the reliability of complex embedded systems at several levels of abstraction are available. In fact, their efficient application is a key factor for the successful design of reliable embedded systems. While analysis approaches that evaluate these techniques and their advantages and disadvantages at particular levels exist, an overall system analysis that has to work cross-level is still lacking. This paper introduces a framework for cross-level reliability analysis that enables a seamless and flexible combination of various reliability analysis techniques across different levels of abstraction. For this purpose, a proposed framework provides mechanisms for (a) the composition and decomposition of the system during analysis and (b) the connection of different levels of abstraction by adapters that convert and abstract analysis results. As a case-study, the framework extends and combines three analysis approaches from the MPSoC domain: (I) a BDD-based reliability analysis considers redundancies in the system structure, (II) an analytical behavioral model to consider computational activity, and (III) a temperature simulator for processor cores. This enables to capture thermal reliability threats at transistor level in an overall system analysis. The approach is seamlessly integrated in an automatic Electronic System Level (ESL) tool flow.