Clock tree resynthesis for multi-corner multi-mode timing closure

  • Authors:
  • Subhendu Roy;Pavlos M. Mattheakis;Laurent Masse-Navette;David Z. Pan

  • Affiliations:
  • University of Texas at Austin, Austin, TX, USA;Mentor Graphics Inc., Grenoble, France;Mentor Graphics Inc., Grenoble, France;University of Texas at Austin, Austin, TX, USA

  • Venue:
  • Proceedings of the 2014 on International symposium on physical design
  • Year:
  • 2014

Quantified Score

Hi-index 0.00

Visualization

Abstract

With aggressive technology scaling and complex design scenarios, timing closure has become a challenging and tedious job for the designers. Timing violations persist for multi- corner, multi-mode designs in the deep-routing stage although careful optimization has been applied at every step after synthesis. Useful clock skew optimization has been suggested as an effective way to achieve design convergence and timing closure. Existing approaches on useful skew optimization (i) calculate clock skew at sequential elements before the actual tree is synthesized, and (ii) do not account for the implementability of the calculated schedules at the later stages of design cycle. Our approach is based on a skew scheduling engine which works on an already built clock tree. The output of the engine is a set of positive and negative offsets which translate to the delay and accelerations respectively in clock arrival at the clock tree pins. A novel algorithm is presented to accurately realize these offsets in the clock tree. Experimental results on large-scale industrial designs demonstrate that our approach achieves respectively 57%, 12% and 42% average improvement in total negative slack (TNS), worst negative slack (WNS) and failure-end-point (FEP) with an average overhead of 26% in clock tree area.