Clock power minimization using structured latch templates and decision tree induction

  • Authors:
  • Samuel I. Ward;Natarajan Viswanathan;Nancy Y. Zhou;Cliff C. N. Sze;Zhuo Li;Charles J. Alpert;David Z. Pan

  • Affiliations:
  • The University of Texas at Austin, Austin, TX;IBM Corporation, Austin, TX;IBM Corporation, Austin, TX;IBM Corporation, Austin, TX;IBM Corporation, Austin, TX;IBM Corporation, Austin, TX;The University of Texas at Austin, Austin, TX

  • Venue:
  • Proceedings of the International Conference on Computer-Aided Design
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

This work proposes a novel latch placement methodology by computing optimized placement templates with significantly lower local clock tree capacitance at a one-time cost per standard cell library. By directly minimizing local clock tree capacitance, overall chip power is reduced. The proposed methodology first generates optimized placement solutions for a wide range of input configurations. Then, a redundancy removal approach using set-theoretic annotation is proposed demonstrating it is possible to remove over 99% of the templates with no information loss. Finally, a decision tree induction algorithm with novel impurity metric enables extremely fast template selection during the clock optimization stage of a modern physical design flow. The proposed approach reduces the local clock tree capacitance by 20-30% on average roughly equating to between a 1 and 4 watt reduction in total dynamic power on a 100-watt 22-nm microprocessor. Additionally, because of a priori generation, template selection during physical design is extremely fast.