Fred: An Architecture for a Self-Timed Decoupled Computer

  • Authors:
  • William F. Richardson;Erik Brunvand

  • Affiliations:
  • -;-

  • Venue:
  • ASYNC '96 Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
  • Year:
  • 1996

Quantified Score

Hi-index 0.00

Visualization

Abstract

Decoupled computer architectures provide an effective means of exploiting instruction level parallelism. Self-timed micropipeline systems are inherently decoupled due to the elastic nature of the basic FIFO structure, and may be ideally suited for constructing decoupled computer architectures. Fred is a self-timed decoupled, pipelined computer architecture based on micropipelines. We present the architecture of Fred, with specific details on a micropipelined implementation that includes support for multiple functional units and out-of-order instruction completion due to the self-timed decoupling.