An optimum layer assignment for routing in ICs and PCBs.

  • Authors:
  • M. J. Ciesielski;E. Kinnen

  • Affiliations:
  • -;-

  • Venue:
  • DAC '81 Proceedings of the 18th Design Automation Conference
  • Year:
  • 1981

Quantified Score

Hi-index 0.01

Visualization

Abstract

An optimum layer assignment of interconnections in IC and two-layer PCB is derived. The assignment is optimal in the sense that it is achieved through a global minimization of contacts or vias. The problem is formulated as a 0,1 integer program and solved using a branch and bound technique. There are no constraints on contact location or on the number of wires that can be connected at each contact. Applications of this procedure to VLSI circuits are discussed.