On-chip communication design: roadblocks and avenues

  • Authors:
  • Luca P. Carloni;Alberto L. Sangiovanni-Vincentelli

  • Affiliations:
  • UC Berkeley, Berkeley, CA;UC Berkeley, Berkeley, CA

  • Venue:
  • Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

The semiconductor industry is experiencing a paradigm shift from "computation-bound design" to "communication-bound design": the number of transistors that can be reached in a clock cycle, and not those that can be integrated on a chip, will drive the design process. Interconnect latency will have a major impact on the design of on-chip communication architectures, which increasingly rely on wire pipelining to go beyond the capabilities of traditional wire buffering. The insertion of stateful repeaters on long wires, instead of simply stateless repeaters, carries major consequences for the synchronous design methodology. This is the foundation of the design ows for the majority of commercial chips today, but, if left unchanged, will lead to an exacerbation of the timing closure problem for tomorrows design ows. New methodologies that regard the chip as a distributed system are necessary. Latency-insensitive design is a step in this direction.