Exploiting Microarchitectural Redundancy For Defect Tolerance

  • Authors:
  • Premkishore Shivakumar;Stephen W. Keckler;Charles R. Moore;Doug Burger

  • Affiliations:
  • -;-;-;-

  • Venue:
  • ICCD '03 Proceedings of the 21st International Conference on Computer Design
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

The continued increase in microprocessor clock frequency that hascome from advancements in fabrication technology and reductions infeature size, creates challenges in maintaining both manufacturingyield rates and long-term reliability of devices. Methods based ondefect detection and reduction may not offer a scalable solutiondue to cost of eliminating contaminants in the manufacturingprocess and increasing chip complexity. This paper proposes to usethe inherent redundancy available in existing and future chipmicroarchitectures to improve yield and enable graceful performancedegradation in fail-in-place systems. We introduce a new yieldmetric called performance averaged yield (YPAV) which accounts bothfor fully functional chips and those that exhibit some performancedegradation. Our results indicate that at 250nm we are able toincrease the YPAY of a uniprocessor with only redundant rows in itscaches from a base value of 85% to 98% using microarchitecturalredundancy. Given constant chip area, shrinking feature sizesincreases fault susceptibility and reduces the base YPAY to 60% at50nm, which exploiting microarchitectural redundancy then increasesto 99.6%.