Evaluating the effects of cache redundancy on profit

  • Authors:
  • Abhishek Das;Berkin Ozisikyilmaz;Serkan Ozdemir;Gokhan Memik;Joseph Zambreno;Alok Choudhary

  • Affiliations:
  • Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL USA;Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL USA;Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL USA;Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL USA;Electrical and Computer Engineering Department, Iowa State University, Ames, USA;Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL USA

  • Venue:
  • Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Previous works in computer architecture have mostly neglected revenue and/or profit, key factors driving any design decision. In this paper, we evaluate architectural techniques to optimize for revenue/profit. The continual trend of technology scaling and sub-wavelength lithography has caused transistor feature sizes to shrink into the nanoscale range. As a result, the effects of process variations on critical path delay and chip yields have amplified. A common concept to remedy the effects of variations is speed-binning, by which chips from a single batch are rated by a discrete range of frequencies and sold at different prices. An efficient binning distribution thus decides the profitability of the chip manufacturer. We propose and evaluate a cache-redundancy scheme called substitute cache, which allows the chip manufacturers to modify the number of chips in different bins. Particularly, this technique introduces a small fully associative array associated with each cache way to replicate the data elements that will be stored in the high latency lines, and hence can be effectively used to boost up the overall chip yield and also shift the chip binning distribution towards higher frequencies. We also develop models based on linear regression and neural networks to accurately estimate the chip prices from their architectural configurations. Using these estimation models, we find that our substitute cache scheme can potentially increase the revenue for the batch of chips by as much as 13.1%.