Instruction level redundant number computations for fast data intensive processing in asynchronous processors

  • Authors:
  • Jeong-Gun Lee;Euiseok Kim;Dong-Ik Lee

  • Affiliations:
  • Department of Information and Communications, Kwang-Ju Institute of Science and Technology, 1 Oryong-dong, Puk-gu, Kwang-Ju 500-712, South Korea;Samsung Advanced Institute of Technology, South Korea;Department of Information and Communications, Kwang-Ju Institute of Science and Technology, 1 Oryong-dong, Puk-gu, Kwang-Ju 500-712, South Korea

  • Venue:
  • Journal of Systems Architecture: the EUROMICRO Journal
  • Year:
  • 2005

Quantified Score

Hi-index 0.02

Visualization

Abstract

Instruction level parallelism (ILP) is strictly limited by various dependencies. In particular, data dependency is a major performance bottleneck of data intensive applications. In this paper we address acceleration of the execution of instruction codes serialized by data dependencies. We propose a new computer architecture supporting a redundant number computation at the instruction level. To design and implement the scheme, an extended data-path and additional instructions are also proposed. The architectural exploitation of instruction level redundant number computations (IL-RNC) makes it possible to eliminate carry propagations. As a result execution of instructions which are serialized due to inherent data dependencies is accelerated. Simulations have been performed with data intensive processing benchmarks and the proposed architecture shows about a 1.2-1.35 fold speedup over a conventional counterpart. The proposed architecture model can be used effectively for data intensive processing in a microprocessor, a digital signal processor and a multimedia processor.