Banked scratch-pad memory management for reducing leakage energy consumption

  • Authors:
  • M. Kandemir;M. J. Irwin;G. Chen;I. Kolcu

  • Affiliations:
  • Dept. of Comput. Sci. & Eng., Pennsylvania State Univ., University Park, PA, USA;Dept. of Comput. Sci. & Eng., Pennsylvania State Univ., University Park, PA, USA;Dept. of Comput. Sci. & Eng., Pennsylvania State Univ., University Park, PA, USA;Dept. of Comput. Sci. & Eng., Pennsylvania State Univ., PA, USA

  • Venue:
  • Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

Current trends indicate that leakage energy consumption will be an important concern in upcoming process technologies. We propose a compiler-based leakage energy optimization strategy for on-chip scratch-pad memories (SPMs). The idea is to divide SPM into banks and use compiler-guided data layout optimization and data migration to maximize SPM bank idleness, thereby increasing the chances of placing banks into low-power (low-leakage) state.