Supply Voltage Noise Aware ATPG for Transition Delay Faults

  • Authors:
  • Nisar Ahmed;Mohammad Tehranipoor;Vinay Jayaram

  • Affiliations:
  • University of Connecticut, USA;University of Connecticut, USA;Texas Instruments, Inc., USA

  • Venue:
  • VTS '07 Proceedings of the 25th IEEE VLSI Test Symmposium
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

The sensitivity of very deep submicron designs to supply voltage noise is increasing due to higher path delay variations and reduced noise margins with supply noise scaling. The supply noise of delay test during at-speed launch and capture is significantly larger compared to normal circuit operation since larger number of transitions occur within a short time frame. Our simulations have shown that for identical switching activity, a pattern with a short switching time frame window will surge more current from the power network, thereby causing higher IR-drop. In this paper, we propose a novel method to measure the average power of at-speed test patterns, referred to as switching cycle average power (SCAP).We present a case study of the IR-drop effects on design performance during at-speed test. A new practical framework is proposed to generate supply noise tolerant delay test patterns. The proposed framework uses existing commercial ATPG tools and a wrapper is added around them. The results demonstrate that the new patterns generated using our framework will significantly reduce the supply noise.