Architecture-specific packing for virtex-5 FPGAs

  • Authors:
  • Taneem Ahmed;Paul D. Kundarewich;Jason H. Anderson;Brad L. Taylor;Rajat Aggarwal

  • Affiliations:
  • Xilinx: Inc., Toronto, ON, Canada;Xilinx: Inc., Toronto, ON, Canada;Xilinx: Inc., Toronto, ON, Canada;Xilinx: Inc., San Jose, CA, USA;Xilinx: Inc., San Jose, CA, USA

  • Venue:
  • Proceedings of the 16th international ACM/SIGDA symposium on Field programmable gate arrays
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

We consider packing in the commercial FPGA context and examine the speed, performance and power trade-offs associated with packing in a state-of-the art FPGA -- the Xilinx Virtex-5 FPGA. Two aspects of packing are discussed: 1)packing for general logic blocks, and 2 packing for large IP blocks. Virtex-5 logic blocks contain dual-output 6-input look-up-tables (LUTs). Such LUTs can implement any single logic function requiring no more than 6 inputs, or any two logic functions requiring no more than 5 distinct inputs. The second LUT output is associated with slower speed, and therefore, must be used judiciously. We present placement-based techniques for dual-output LUT packing that lead to improved area-efficiency and power, with minimal performance degradation. We then move on to address packing for large IP blocks, specifically, block RAMs and DSPs. We present a packing optimization that is widely applicable in DSP designs that leads to significantly improved design performance