Decoupling capacitance efficient placement for reducing transient power supply noise

  • Authors:
  • Xiaoyi Wang;Yici Cai;Qiang Zhou;Sheldon X.-D. Tan;Thom Eguia

  • Affiliations:
  • Tsinghua University, Beijing, China;TsingHua University, Beijing, China;Tsinghua University, Beijing, China;University of California, Riverside, CA;University of California, Riverside, CA

  • Venue:
  • Proceedings of the 2009 International Conference on Computer-Aided Design
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Decoupling capacitance (decap) is an efficient way to reduce transient noise in on-chip power supply networks. However, excessive decap may cause more leakage power, chip resource waste, and even lead to more design iterations. In this paper, we present a novel decap-efficient placement algorithm for transient power supply noise reduction. In contrast to traditional design flow, our approach considers decap impacts at the placement stage to seek the placement minimizing decap requirements while still satisfying the traditional placement objectives. In the new method, we first devise a fast procedure to assess the decap requirement for the force-based placement framework, in which the required decap is modeled as a density function over the chip. Then, we build a corresponding supply and demand system to adjust the placement in favor of minimizing decap. Finally, we develop a decap efficient placement algorithm with a new force induced by imbalance between power supply and power demands. Experimental results show that the new combined placement and decap optimization flow could reduce the minimum decap area by 35% with a wire length increase of only 0.5% at nearly the same computational cost, which is efficient for practical problems.