A timing-dependent power estimation framework considering coupling

  • Authors:
  • DiaaEldin Khalil;Debjit Sinha;Hai Zhou;Yehea Ismail

  • Affiliations:
  • Intel Corporation, Hillsboro, OR;IBM Systems and Technology Group, East Fishkill, NY;Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL;Electrical Engineering and Computer Science Department, Northwestern University, Evanston, IL

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, a timing-dependent dynamic power estimation framework that considers the impact of coupling in combinational circuits is proposed. Relative switching activities and delays of coupled interconnects significantly affect dynamic power dissipation in parasitic coupling capacitances (coupling power). To enable capturing the switching and timing dependence, detailed switching distributions and timing information are essential in accurate estimation of dynamic power consumption. An approach to efficiently represent and propagate switching and timing distributions through circuits is developed. Based on propagated switching and timing distributions, power consumption in coupling capacitances is accurately calculated. Experimental results using ISCAS'85 benchmarks demonstrate that ignoring timing dependence of coupling power consumption can cause up to 25% error in dynamic power estimation (corresponding to 59% error in coupling power estimation).