CATALYST: planning layer directives for effective design closure

  • Authors:
  • Yaoguang Wei;Zhuo Li;Cliff Sze;Shiyan Hu;Charles J. Alpert;Sachin S. Sapatnekar

  • Affiliations:
  • University of Minnesota, Minneapolis, MN;IBM Austin Research Lab, Austin, TX;IBM Austin Research Lab, Austin, TX;Michigan Technological University, Houghton, MI;IBM Austin Research Lab, Austin, TX;University of Minnesota, Minneapolis, MN

  • Venue:
  • Proceedings of the Conference on Design, Automation and Test in Europe
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

For the last several technology generations, VLSI designs in new technology nodes have had to confront the challenges associated with reduced scaling in wire delays. The solution from industrial back-end-of-line process has been to add more and more thick metal layers to the wiring stacks. However, existing physical synthesis tools are usually not effective in handling these new thick layers for design closure. To fully leverage these degrees of freedom, it is essential for the design flow to provide better communication among the timer, the router, and different optimization engines. This work proposes a new algorithm, CATALYST, to perform congestion- and timing-aware layer directive assignment. Our flow balances routing resources among metal stacks so that designs benefit from the availability of thick metal layers by achieving improved timing and buffer usage reduction while maintaining routability. Experiments demonstrate the effectiveness of the proposed algorithm.