StarSync: An extendable standard-cell mesochronous synchronizer

  • Authors:
  • Dmitry Verbitsky;Rostislav (Reuven) Dobkin;Ran Ginosar;Salomon Beer

  • Affiliations:
  • -;-;-;-

  • Venue:
  • Integration, the VLSI Journal
  • Year:
  • 2014

Quantified Score

Hi-index 0.00

Visualization

Abstract

StarSync, a mesochronous synchronizer, enables low latency and full throughput crossing of clock domain boundaries having same frequency but different phases. Full back pressure is supported, where the receiver can start and stop accepting words without any data loss. Variable depth buffering is provided, supporting a wide range of short and long range communications and accommodating multi-cycle wire delays. Burst data can also be accommodated thanks to buffering. Dynamic phase shifting due to varying voltage and temperature are mitigated by increasing the separation between write and read pointers. The synchronizer is exposed to metastability risk only during reset. It is suitable for implementation using standard cell design and requires neither delay lines nor other full custom circuits. It is shown that a minimum of four buffer stages are required, to mitigate skew in reset synchronization, in contrast with previous proposals for three stages.