Is the Die Cast for the Token Game?
ICATPN '02 Proceedings of the 23rd International Conference on Applications and Theory of Petri Nets
Using dynamic domino circuits in self-timed systems
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Adaptive Pipeline Structures fo Speculation Control
ASYNC '03 Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems
Low-Latency Contro Structures with Slack
ASYNC '03 Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems
Synchronous Full-Scan for Asynchronous Handshake Circuits
Journal of Electronic Testing: Theory and Applications
Low-power asynchronous viterbi decoder for wireless applications
Proceedings of the 2004 international symposium on Low power electronics and design
Design and Analysis of Dual-Rail Circuits for Security Applications
IEEE Transactions on Computers
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Self-timed communication platform for implementing high-performance systems-on-chip
Integration, the VLSI Journal - Special issue: Networks on chip and reconfigurable fabrics
A survey of research and practices of Network-on-chip
ACM Computing Surveys (CSUR)
Asynchronous circuit design on reconfigurable devices
SBCCI '06 Proceedings of the 19th annual symposium on Integrated circuits and systems design
Designing Resistant Circuits against Malicious Faults Injection Using Asynchronous Logic
IEEE Transactions on Computers
Minimal energy asynchronous dynamic adders
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An asynchronous fpga logic cell implementation
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Using standard asic back-end for qdi asynchronous circuits: dealing with isochronic fork constraint
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Asynchronous Layered Interface of Multimedia SoCs for Multiple Outstanding Transactions
Journal of VLSI Signal Processing Systems
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
A scalable, timing-safe, network-on-chip architecture with an integrated clock distribution method
Proceedings of the conference on Design, automation and test in Europe
Design and DfT of a high-speed area-efficient embedded asynchronous FIFO
Proceedings of the conference on Design, automation and test in Europe
A low-power CSCD asynchronous viterbi decoder for wireless applications
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Integration, the VLSI Journal
Design automation of real-life asynchronous devices and systems
Foundations and Trends in Electronic Design Automation
Registers for phase difference based logic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Reflections on the Future of Concurrency Theory in General and Process Calculi in Particular
Electronic Notes in Theoretical Computer Science (ENTCS)
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
Conditional partial order graphs and dynamically reconfigurable control synthesis
Proceedings of the conference on Design, automation and test in Europe
Automated Synchronous-to-Asynchronous Circuits Conversion: A Survey
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
An EDA tool for implementation of low power and secure crypto-chips
Computers and Electrical Engineering
Performance optimization of elastic systems using buffer resizing and buffer insertion
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Low Static Powered Asynchronous Data Transfer for GALS System
IEICE - Transactions on Information and Systems
A study of asynchronous design methodology for robust CMOS-nano hybrid system design
ACM Journal on Emerging Technologies in Computing Systems (JETC)
From synchronous to GALS: A new architecture for FPGAs
Microelectronics Journal
Towards a Unifying CSP approach to Hierarchical Verification of Asynchronous Hardware
Electronic Notes in Theoretical Computer Science (ENTCS)
Opportunities and Challenges in Process-algebraic Verification of Asynchronous Circuit Designs
Electronic Notes in Theoretical Computer Science (ENTCS)
Diagnosis of faults in template-based asynchronous circuits
SOC'09 Proceedings of the 11th international conference on System-on-chip
Wave-pipelined intra-chip signaling for on-FPGA communications
Integration, the VLSI Journal
Workcraft: a static data flow structure editing, visualisation and analysis tool
ICATPN'07 Proceedings of the 28th international conference on Applications and theory of Petri nets and other models of concurrency
Scaling self-timed systems powered by mechanical vibration energy harvesting
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Evaluating a low-power dual-core architecture
APPT'07 Proceedings of the 7th international conference on Advanced parallel processing technologies
Automatic synthesis of computation interference constraints for relative timing verification
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Asynchronous computing in low power based sense amplifier pass transistor logic
ICNVS'10 Proceedings of the 12th international conference on Networking, VLSI and signal processing
A reconfigurable source-synchronous on-chip network for GALS many-core platforms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special issue on the 2009 ACM/IEEE international symposium on networks-on-chip
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Robust asynchronous implementation of Boolean functions on the basis of duality
ICC'10 Proceedings of the 14th WSEAS international conference on Circuits
Asynchronous data-driven circuit synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Low power asynchronous circuit back-end design flow
Microelectronics Journal
Asynchronous spatial division multiplexing router
Microprocessors & Microsystems
A novel automatic test pattern generator for asynchronous sequential digital circuits
Microelectronics Journal
An implementation of an asychronous FPGA based on LEDR/four-phase-dual-rail hybrid architecture
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Asynchronous DSP for low-power energy-efficient embedded systems
Microprocessors & Microsystems
Asynchronous computing in sense amplifier-based pass transistor logic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Variation-immune quasi delay-insensitive implementation on nano-crossbar arrays
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
Proceedings of the International Conference & Workshop on Emerging Trends in Technology
Analyzing the impact of local and global indication on a self-timed system
ECC'11 Proceedings of the 5th European conference on European computing conference
An asynchronous ternary logic signaling system
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Behavioral synthesis of asynchronous circuits using syntax directed translation as backend
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An Asynchronous Design for Testability and Implementation in Thin-film Transistor Technology
Journal of Electronic Testing: Theory and Applications
A self-adaptable distributed DFS scheme for NoC-based MPSoCs
Proceedings of the 24th symposium on Integrated circuits and systems design
Asynchronous Solutions for Nanomagnetic Logic Circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
The ReNoC Reconfigurable Network-on-Chip: Architecture, Configuration Algorithms, and Evaluation
ACM Transactions on Embedded Computing Systems (TECS)
A design-for-verification framework for a configurable performance-critical communication interface
FORMATS'11 Proceedings of the 9th international conference on Formal modeling and analysis of timed systems
Improving the robustness of self-timed SRAM to variable Vdds
PATMOS'11 Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation
High level synthesis of asynchronous circuits from data flow graphs
PATMOS'11 Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation
A robust asynchronous early output full adder
WSEAS Transactions on Circuits and Systems
Implementing cryptography on TFT technology for secure display applications
CARDIS'06 Proceedings of the 7th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Automated design of cryptographic devices resistant to multiple side-channel attacks
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
A method to design compact DUAL-RAIL asynchronous primitives
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
The design of an asynchronous carry-lookahead adder based on data characteristics
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Desynchronization: design for verification
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
The design of a dataflow coprocessor for low power embedded hierarchical processing
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
QDI latches characteristics and asynchronous linear-pipeline performance analysis
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Formal evaluation of the robustness of dual-rail logic against DPA attacks
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Implementation of handshake components
CSP'04 Proceedings of the 2004 international conference on Communicating Sequential Processes: the First 25 Years
VLSI implementation of a distributed algorithm for fault-tolerant clock generation
Journal of Electrical and Computer Engineering - Special issue on Clock/Frequency Generation Circuits and Systems
Resilient and adaptive performance logic
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Structure-based deadlock checking of asynchronous circuits
Journal of Computer Science and Technology - Special issue on Natural Language Processing
Analysis of Static Data Flow Structures
Fundamenta Informaticae - Application of Concurrency to System Design, the Sixth Special Issue
Asynchronous functional coupling for low power sensor network processors
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
The design and implementation of a power efficient embedded SRAM
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
An automatic design flow for implementation of side channel attacks resistant crypto-chips
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
A fast and accurate power estimation methodology for QDI asynchronous circuits
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Brownian Circuits: Fundamentals
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Design and Analysis of a Robust Carbon Nanotube-Based Asynchronous Primitive Circuit
ACM Journal on Emerging Technologies in Computing Systems (JETC)
An asynchronous finite-state-machine-based buck-boost converter for on-chip adaptive power supply
Analog Integrated Circuits and Signal Processing
Computing with planar toppling domino arrangements
Natural Computing: an international journal
Proceedings of the Conference on Design, Automation and Test in Europe
Area efficient asynchronous SDM routers using 2-stage clos switches
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Step persistence in the design of GALS systems
PETRI NETS'13 Proceedings of the 34th international conference on Application and Theory of Petri Nets and Concurrency
Using transition systems to describe and predict the behaviour of structured excitable media
Natural Computing: an international journal
Dual-rail asynchronous logic multi-level implementation
Integration, the VLSI Journal
StarSync: An extendable standard-cell mesochronous synchronizer
Integration, the VLSI Journal
Hi-index | 0.01 |
Principles of Asynchronous Circuit Design - A Systems Perspective addresses the need for an introductory text on asynchronous circuit design. Part I is an 8-chapter tutorial which addresses the most important issues for the beginner, including how to think about asynchronous systems. Part II is a 4-chapter introduction to Balsa, a freely-available synthesis system for asynchronous circuits which will enable the reader to get hands-on experience of designing high-level asynchronous systems. Part III offers a number of examples of state-of-the-art asynchronous systems to illustrate what can be built using asynchronous techniques. The examples range from a complete commercial smart card chip to complex microprocessors. The objective in writing this book has been to enable industrial designers with a background in conventional (clocked) design to be able to understand asynchronous design sufficiently to assess what it has to offer and whether it might be advantageous in their next design task.