A High-Performance Flexible Architecture for Cryptography

  • Authors:
  • R. Reed Taylor;Seth Copen Goldstein

  • Affiliations:
  • -;-

  • Venue:
  • CHES '99 Proceedings of the First International Workshop on Cryptographic Hardware and Embedded Systems
  • Year:
  • 1999

Quantified Score

Hi-index 0.00

Visualization

Abstract

Cryptographic algorithms are more efficiently implemented in custom hardware than in software running on general-purpose processors. However, systems which use hardware implementations have significant drawbacks: they are unable to respond to flaws discovered in the implemented algorithm or to changes in standards. In this paper we show how reconfigurable computing offers high performance yet flexible solutions for cryptographic algorithms. We focus on PipeRench, a reconfigurable fabric that supports implementations which can yield better than custom-hardware performance and yet maintains all the flexibility of software based systems. PipeRench is a pipelined reconfigurable fabric which virtualizes hardware, enabling large circuits to be run on limited physical hardware. We present implementations for Crypton, IDEA, RC6, and Twofish on PipeRench and an extension of PipeRench, PipeRench+. We also describe how various proposed AES algorithms could be implemented on PipeRench. PipeRench achieves speedups of between 2x and 12x over conventional processors.