Optimal integer delay budgeting on directed acyclic graphs

  • Authors:
  • E. Bozorgzadeh;S. Ghiasi;A. Takahashi;M. Sarrafzadeh

  • Affiliations:
  • University of California, Los Angeles (UCLA), Los Angeles, CA;University of California, Los Angeles (UCLA), Los Angeles, CA;Tokyo Institute of Technology, Tokyo, Japan;University of California, Los Angeles (UCLA), Los Angeles, CA

  • Venue:
  • Proceedings of the 40th annual Design Automation Conference
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

Delay budget is an excess delay each component of a design can tolerate under a given timing constraint. Delay budgeting has been widely exploited to improve the design quality. We present an optimal integer delay budgeting algorithm. Due to numerical instability and discreteness of libraries of components during library mapping in design optimization flow, integer solution for delay budgeting is essential. We prove that integer budgeting problem - a 20-year old open problem in design optimization [7]- can be solved optimally in polynomial time. We applied optimal delay budgeting in mapping applications on FPGA platform using pre-optimized cores of FPGA libraries. For each application we go through synthesis and place and route stages in order to obtain accurate results. Our optimal algorithm outperforms ZSA algorithm [3] in terms of area by 10% on average for all applications. In some applications, optimal delay budgeting can speedup runtime of place_and_route up to 2 times.