Layout-driven Timing Optimization by Generalized De Morgan Transform

  • Authors:
  • Supratik Chakraborty;Rajeev Murgai

  • Affiliations:
  • Dept. of Computer Science and Engineering, Indian Institute of Technology, Bombay, India;Fujitsu Laboratories of America, Inc., Sunnyvale, CA

  • Venue:
  • ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  • Year:
  • 2002

Quantified Score

Hi-index 0.00

Visualization

Abstract

We propose a timing-oriented logic optimization technique called Generalized De Morgan (GDM) transform, that integrates gate resizing, net buffering and De Morgan transformation. The contribution of our work lies in the integration of the three techniques, allowing them to interact at a much finer level of granularity than would be otherwise possible. This produces better results than those obtainable by individual techniques like net buffering or gate resizing applied to the circuit in various combinations. GDM transform is also layout-friendly since it does not alter the routing patterns and placement of cells, except possibly some buffer insertions/deletions. Hence it is useful for achieving timing closure in late stages of the design flow. We propose a comprehensive GDM algorithm that (a) determines the best replacement of a gate, possibly with inverted inputs and outputs, along with the best buffering configurations of nets incident on it, and (b) embeds this into a global scheme for optimizing large designs. We have implemented this algorithm in a layout-driven, industrial-strength logic optimization framework, and have successfully applied it to large industrial designs.