Interconnect lifetime prediction under dynamic stress for reliability-aware design

  • Authors:
  • Zhijian Lu;Wei Huang;J. Lach;M. Stan;K. Skadron

  • Affiliations:
  • Dept. of Electr. & Comput. Eng., Virginia Univ., Charlottesville, VA, USA;Dept. of Electr. & Comput. Eng., Virginia Univ., Charlottesville, VA, USA;Dept. of Electr. & Comput. Eng., Virginia Univ., Charlottesville, VA, USA;Dept. of Electr. & Comput. Eng., Virginia Univ., Charlottesville, VA, USA;Department of Computer Science, University of Virginia Charlottesville, VA

  • Venue:
  • Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

Thermal effects are becoming a limiting factor in high-performance circuit design due to the strong temperature-dependence of leakage power, circuit performance, IC package cost and reliability. While many interconnect reliability models assume a constant temperature, this paper presents a physics-based model for estimating interconnect lifetime for any time-varying temperature/current profile. This model is verified with numerical solutions. With this model, we show that designers may be more aggressive with the temperature profiles that are allowed on a chip. In fact, our model reveals that when the temperature magnitude variation is small, average temperature (instead of worst-case temperature) can be used to accurately predict interconnect lifetime, allowing for significant design margin reclamation in reliability-aware design. Even when the variation of temperature magnitude is large, our model shows that using the maximum temperature is still too conservative for interconnect lifetime prediction. Therefore, our model not only increases the accuracy of reliability estimates, but also enables designers to consider more aggressive designs. This model is similarly useful for temperature-aware dynamic runtime management.