Effective radii of on-chip decoupling capacitors

  • Authors:
  • Mikhail Popovich;Michael Sotman;Avinoam Kolodny;Eby G. Friedman

  • Affiliations:
  • CDMA Technologies, Qualcomm Corporation, San Diego, CA;Department of Electrical and Computer Engineering, Technion-Israel Institute of Technology, Haifa, Israel;Department of Electrical Engineering, Technion-Israel Institute of Technology, Haifa, Israel;Department of Electrical and Computer Engineering, University of Rochester, Rochester, NY

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Decoupling capacitors are widely used to reduce power supply noise. On-chip decoupling capacitors have traditionally been allocated into the white space available on a die or placed inside the rows in standard ceil circuit blocks. The efficacy of on-chip decoupling capacitors depends upon the impedance of the power/ground lines connecting the capacitors to the current loads and power supplies. A design methodology for placing on-chip decoupling capacitors is presented in this paper. A maximum effective radius is shown to exist for each on-chip decoupling capacitor. Beyond this effective distance, a decoupling capacitor is ineffective. Depending upon the parasitic impedance of the power distribution system, the maximum voltage drop seen at the current load is caused either by the first droop (determined by the rise time) or by the second droop (determined by the transition time). Two criteria to estimate the minimum required on-chip decoupling capacitance are developed based on the critical parasitic impedance. In order to provide the required charge drawn by the load, the decoupling capacitor has to be charged before the next switching cycle. For an on-chip decoupling capacitor to be effective, both effective radii criteria should be simultaneously satisfied.