RAFT: A router architecture with frequency tuning for on-chip networks

  • Authors:
  • Asit K. Mishra;Aditya Yanamandra;Reetuparna Das;Soumya Eachempati;Ravi Iyer;N. Vijaykrishnan;Chita R. Das

  • Affiliations:
  • Department of Computer Science and Engineering, The Pennsylvania State University. University Park, PA 16802, United States;Department of Computer Science and Engineering, The Pennsylvania State University. University Park, PA 16802, United States;Department of Computer Science and Engineering, The Pennsylvania State University. University Park, PA 16802, United States;Department of Computer Science and Engineering, The Pennsylvania State University. University Park, PA 16802, United States;Integrated Platforms Lab, Intel Corporation. Hillsboro, OR 97124, United States;Department of Computer Science and Engineering, The Pennsylvania State University. University Park, PA 16802, United States;Department of Computer Science and Engineering, The Pennsylvania State University. University Park, PA 16802, United States

  • Venue:
  • Journal of Parallel and Distributed Computing
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

With increasing number of cores being integrated on a single die, Network-on-Chips (NoCs) have become the de-facto standard in providing scalable communication backbones for these multi-core chips. NoCs have a significant impact on the system's performance, power and reliability. However, NoCs can be plagued by higher power consumption and degraded throughput if the network and router are not designed properly. Towards this end, this paper proposes a novel router architecture, where we tune the frequency of a router in response to network load to manage both performance and power. We propose three dynamic frequency tuning techniques, FreqBoost, FreqThrtl and FreqTune, targeted at congestion and power management in NoCs. We also propose and evaluate a novel fine-grained frequency tuning scheme where we vary the number of virtual-channels in a router dynamically. As a further optimization to these schemes, we propose a frequency tuning scheme where we tune the frequency of the four ports of a mesh router separately from the local port. As enablers for these techniques, we exploit Dynamic Voltage and Frequency Scaling (DVFS) and the imbalance in a generic router pipeline through time stealing. We also evaluate and analyze the proposed schemes from the point of view of reliability against soft error vulnerability and provide guidelines in choosing the appropriate scheme when reliability is the prime design constraint. Experiments using synthetic workloads on an 8 x 8 wormhole-switched mesh interconnect show that FreqBoost is a better choice for reducing average latency (maximum 40%) while, FreqThrtl provides the maximum benefits in terms of power saving and energy delay product (EDP). The FreqTune scheme is a better candidate for optimizing both performance and power, achieving on an average 36% reduction in latency, 13% savings in power (up to 24% at high load), and 40% savings (up to 70% at high load) in EDP. With application benchmarks, we observe IPC improvement up to 23% using our design. Our analysis shows FreqBoost to be the most robust scheme amongst the three schemes when reliability is a concern.