Energy and performance models for synchronous and asynchronous communication

  • Authors:
  • Kenneth S. Stevens;Pankaj Golani;Peter A. Beerel

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT;Department of Electrical Engineering Systems, University of Southern California, Los Angeles, CA;Department of Electrical Engineering Systems, University of Southern California, Los Angeles, CA

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Communication costs, which have the potential to throttle design performance as scaling continues, are mathematically modeled and compared for various pipeline methodologies. First-order models are created for common pipeline protocols, including clocked flopped, clocked time-borrowing latch, asynchronous two-phase, four-phase, delay-insensitive, single-track, and source synchronous. The models are parameterized for throughput, energy, and bandwidth. The models share common parameters for different pipeline protocols and implementations to enable a fair apple-to-apple comparison. The accuracy of the models are demonstrated for complete implementations of a subset of the protocols by applying 65-nm process simulated parameter values against the SPICE simulation of full pipeline implementations. One can determine when asynchronous communication is superior at the physical level to synchronous communication in terms of energy for a given bandwidth by applying actual or expected values of the parameters to various design targets. Comparisons between protocols at fixed targets also allow designers to understand tradeoffs between implementations that have a varying process, timing, and design requirements.