Fault-tolerant 3D clock network

  • Authors:
  • Chiao-Ling Lung;Yu-Shih Su;Shih-Hsiu Huang;Yiyu Shi;Shih-Chieh Chang

  • Affiliations:
  • National Tsing Hua University, HsinChu, Taiwan and Industrial Technology Research Institute, HsinChu, Taiwan;Industrial Technology Research Institute, HsinChu, Taiwan;National Tsing Hua University, HsinChu, Taiwan;Missouri University of Science and Technology, Rolla, MO;National Tsing Hua University, HsinChu, Taiwan

  • Venue:
  • Proceedings of the 48th Design Automation Conference
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Clock tree synthesis is one of the most important and challenging problems in 3D ICs. The clock signals have to be delivered by through-silicon vias (TSVs) to different tiers with minimum skew and latency. While there are a few related works in literature, none of them considers the reliability of TSVs. Accordingly, the failure of any TSV in the clock tree yields a bad chip. The naive solution using double-TSV can alleviate the problem. But the significant area overhead renders it less practical for large designs. In this paper, we propose a novel TSV fault-tolerant unit (TFU) that can provide tolerance against TSV failures in a 3D clock network. It makes use of the existing 2D redundant trees designed for pre-bond testing, and thus has minimum area overhead. Compared to the double TSV technique, the 3D clock network constructed by our TFUs can achieve 61% area reduction with 3.9% yield rate improvement on an industrial case. To the best of the authors' knowledge, this is the first practical work in literature that considers the fault tolerance of a 3D clock network.