Transformation from ad hoc EDA to algorithmic EDA

  • Authors:
  • Jason Cong

  • Affiliations:
  • University of California, Los Angeles, Los Angeles, CA, USA

  • Venue:
  • Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper I will attempt to provide an overview of Professor C. L. (Dave) Liu's contributions to electronic design automation (EDA). I will start with his early work as a pioneering researcher in combinatorial mathematics and algorithms, and then highlight several of his algorithmic contributions to a number of EDA problems - including floorplanning, placement, routing, FPGA synthesis, high-level synthesis, and fault-tolerant designs. Liu's studies of these problems were very timely, right at the time when they became important to the integrated circuit (IC) design industry. Many of his solution techniques are also timeless, as they have been applied to other EDA problems. Finally, I discuss his contributions as a great educator - one who has trained multiple generations of leaders, researchers and entrepreneurs in EDA and beyond. These people carry on Liu's vision and efforts in the transformation of ad hoc EDA to algorithmic EDA.