Identifying Untestable Faults in Sequential Circuits Using Test Path Constraints

  • Authors:
  • Taavi Viilukas;Anton Karputkin;Jaan Raik;Maksim Jenihhin;Raimund Ubar;Hideo Fujiwara

  • Affiliations:
  • Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia 12618;Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia 12618;Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia 12618;Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia 12618;Department of Computer Engineering, Tallinn University of Technology, Tallinn, Estonia 12618;Faculty of Informatics, Osaka Gakuin University, Suita, Japan 564-8511

  • Venue:
  • Journal of Electronic Testing: Theory and Applications
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

The paper proposes a hierarchical untestable stuck-at fault identification method for non-scan synchronous sequential circuits. The method is based on deriving, minimizing and solving test path constraints for modules embedded into Register-Transfer Level (RTL) designs. First, an RTL test pattern generator is applied in order to extract the set of all possible test path constraints for a module under test. Then, the constraints are minimized using an SMT solver Z3 and a logic minimization tool ESPRESSO. Finally, a constraint-driven deterministic test pattern generator is run providing hierarchical test generation and untestability proof in sequential circuits. We show by experiments that the method is capable of quickly proving a large number of untestable faults obtaining higher fault efficiency than achievable by a state-of-the-art commercial ATPG. As a side effect, our study shows that traditional bottom-up test generation based on symbolic test environment generation at RTL is too optimistic due to the fact that propagation constraints are ignored.