On effective TSV repair for 3D-stacked ICs

  • Authors:
  • Li Jiang;Qiang Xu;Bill Eklow

  • Affiliations:
  • The Chinese University of Hong Kong, Shatin, N.T., Hong Kong and Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences;The Chinese University of Hong Kong, Shatin, N.T., Hong Kong and Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences;Cisco Systems, San Jose, CA

  • Venue:
  • DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

3D-stacked ICs that employ through-silicon vias (TSVs) to connect multiple dies vertically have gained wide-spread interest in the semiconductor industry. In order to be commercially viable, the assembly yield for 3D-stacked ICs must be as high as possible, requiring TSVs to be reparable. Existing techniques typically assume TSV faults to be uniformly distributed and use neighboring TSVs to repair faulty ones, if any. In practice, however, clustered TSV faults are quite common due to the fact that the TSV bonding quality depends on surface roughness and cleaness of silicon dies, rendering prior TSV redundancy solutions less effective. To resolve this problem, we present a novel TSV repair framework, including a hardware architecture that enables faulty TSVs to be repaired by redundant TSVs that are farther apart, and the corresponding repair algorithm. By doing so, the manufacturing yield for 3D-stacked ICs can be dramatically improved, as demonstrated in our experimental results.