Computational geometry: an introduction
Computational geometry: an introduction
A faster approximation algorithm for the Steiner problem in graphs
Information Processing Letters
Faster scaling algorithms for general graph matching problems
Journal of the ACM (JACM)
A General Approximation Technique for Constrained Forest Problems
SIAM Journal on Computing
Leaf cell and hierarchical compaction techniques
Leaf cell and hierarchical compaction techniques
Combinatorial optimization
Subwavelength lithography and its potential impact on design and EDA
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
The Design and Analysis of Computer Algorithms
The Design and Analysis of Computer Algorithms
Node-and edge-deletion NP-complete problems
STOC '78 Proceedings of the tenth annual ACM symposium on Theory of computing
Optimal phase conflict removal for layout of dark field alternating phase shifting masks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Application of automated design migration to alternating phase shift mask design
Proceedings of the 2001 international symposium on Physical design
Proceedings of the 2001 international symposium on Physical design
Layout design methodolgies for sub-wavelength manufacturing
Proceedings of the 38th annual Design Automation Conference
Bright-Field AAPSM Conflict Detection and Correction
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Fast and efficient phase conflict detection and correction in standard-cell layouts
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Compression-based fixed-parameter algorithms for feedback vertex set and edge bipartization
Journal of Computer and System Sciences
Wire sizing and spacing for lithographic printability and timing optimization
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Double patterning technology friendly detailed routing
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Iterative Compression for Exactly Solving NP-Hard Minimization Problems
Algorithmics of Large and Complex Networks
A matching based decomposer for double patterning lithography
Proceedings of the 19th international symposium on Physical design
Layout decomposition approaches for double patterning lithography
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special issue on the 2009 ACM/IEEE international symposium on networks-on-chip
Improved fixed-parameter algorithms for two feedback set problems
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Algorithm engineering for optimal graph bipartization
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Hi-index | 0.00 |
We describe new graph bipartization algorithms for lay-out modification and phase assignment of bright-field alternating phase-shifting masks (AltPSM) [25]. The problem of layout modification for phase-assignability reduces to the problem of making a certain layout-derived graph bipartite (i.e., 2-colorable). Previous work [3] solves bipartization optimally for the dark field alternating PSMregime. Only one degree of freedom is allowed (and relevant) for such a bipartization: edge deletion, which corresponds to increasing the spacing between features in order to remove phase conflict. Unfortunately, dark-field PSM is used only for contact layers, due to limitations of negative photoresists. Poly and metal layers are actually created using positive photoresists and bright-field masks. In this paper, we define a new graph bipartization formulation that pertains to the more technologically relevant bright-field regime. Previous work [3] does not apply to this regime. This formulation allows two degrees of freedom for layout perturbation: (i) increasing the spacing between features, and (ii) increasing the width of critical features. Each of these corresponds to node deletion in a new layout-derived graph that we define, called the feature graph. Graph bipartization by node deletion asks for a minimum weight node set A such that deletion of A makes the graph bipartite. Unlike bipartization by edge deletion, this problem is NP-hard. We investigate several practical heuristics for the node deletion bipartization of planar graphs, including one that has 9/4 approximation ratio. Computational experience with industrial VLSI layout benchmarks shows promising results.