Routability driven floorplanner with buffer block planning

  • Authors:
  • Chiu Wing Sham;Evangeline F. Y. Young

  • Affiliations:
  • The Chinese University of Hong Kong, Shatin, N.T. Hong Kong;The Chinese University of Hong Kong, Shatin, N.T. Hong Kong

  • Venue:
  • Proceedings of the 2002 international symposium on Physical design
  • Year:
  • 2002

Quantified Score

Hi-index 0.00

Visualization

Abstract

In traditional floorplanners, area minimization is an important issue. However, due to the recent advances in VLSI technology, the number of transistors in a design are increasing rapidly and so are their switching speeds. This has increased the importance of interconnect delay and routability in the overall performance of a circuit. We should consider interconnect planning, buffer planning and routability as early as possible. In this paper, we study and implement a routability-driven floorplanner with congestion estimation and buffer planning. Our method is based on a simulated annealing approach that is divided into two phases: the area optimization phase and the congestion optimization phase. In the area optimization phase, modules are roughly placed according to the total area and wirelength. In the congestion optimization phase, a floorplan will be evaluated by its area, wirelength, congestion and routability. We assume that every buffer should be inserted at a flexible interval from each other for long enough wires and probabilistic analysis is performed to compute the congestion information taken into accounts the constraints in buffer locations. Our approach is able to reduce the average number of wires at the congested areas and allow more feasible insertions of buffers to satisfy the delay constraints without having much penalty in increasing the area of the floorplan.