Power-aware compilation for register file energy reduction

  • Authors:
  • José L. Ayala;Alexander Veidenbaum;Marisa López-Vallejo

  • Affiliations:
  • Departamento de Ingeniería Electrónica, Universidad Politécnica de Madrid, E.T.S.I. Telecomunicación, Ciudad Universitaria s/n, 28040 Madrid, Spain;Center for Embedded Computer Systems, University of California, 444 Computer Science Building, Irvine, California;Departamento de Ingeniería Electrónica, Universidad Politécnica de Madrid, E.T.S.I. Telecomunicación, Ciudad Universitaria s/n, 28040 Madrid, Spain

  • Venue:
  • International Journal of Parallel Programming - Special issue: Workshop on application specific processors (WASP)
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

Most power reduction techniques have focused on gating the clock to unused functional units to minimize static power consumption, while system level optimizations have been used to deal with dynamic power consumption. Once these techniques are applied, register file power consumption becomes a dominant factor in the processor. This paper proposes a power-aware reconfiguration mechanism in the register file driven by a compiler. Optimal usage of the register file in terms of size is achieved and unused registers are put into a low-power state. Total energy consumption in the register file is reduced by 65% with no appreciable performance penalty for MiBench benchmarks on an embedded processor. The effect of reconfiguration granularity on energy savings is also analyzed, and the compiler approach to optimize energy results is presented.