Optimizing the Thermal Behavior of Subarrayed Data Caches

  • Authors:
  • Johnsy K. John;Jie S. Hu;Sotirios G. Ziavras

  • Affiliations:
  • Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ;Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ;Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ

  • Venue:
  • ICCD '05 Proceedings of the 2005 International Conference on Computer Design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Designing temperature-aware microarchitectures for microprocessors at new technologies is becoming a critical requirement due to the exponentially increasing on-chip power density. Extremely high power density, thus the very high onchip temperature, not only signi?cantly increases the packaging and cooling costs, but also creates tremendous dif?culties in chip leakage control and reliability. Being a major contributor to chip transistor budget and die area, caches account for a signi?cant share of the overall processor power consumption, including both dynamic and leakage power. This work analyzes the thermal behavior of subarrays within a conventional data cache when running a set of applications from the SPEC2000 benchmark suite, and proposes two new subarraying schemes, namely, the separated scheme and the interleaved scheme, to improve the thermal behavior of subarrays in terms of more predictable behavior and reduced subarray temperatures. These optimizations can be also combined with dynamic thermal management (DTM) techniques to further improve the efficiency of thermal management. The impact of leakage control on the subarray thermal behavior is also evaluated.