Physical design implementation of segmented buses to reduce communication energy

  • Authors:
  • Jin Guo;Antonis Papanikolaou;Pol Marchal;Francky Catthoor

  • Affiliations:
  • IMEC v.z.w., Kapeldreef, Leuven, Belgium;IMEC v.z.w., Kapeldreef, Leuven, Belgium;IMEC v.z.w., Kapeldreef, Leuven, Belgium;IMEC v.z.w., Kapeldreef, Leuven, Belgium

  • Venue:
  • ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

The amount of energy consumed for interconnecting the IP-blocks is increasing significantly due to the suboptimal scaling of long wires. To limit this energy penalty, segmented buses have gained interest in the architectural community. However, the netlist topology and the physical design stage significantly influence the final communication energy cost. We present in this paper an automated way to implement a netlist consisting of hard macro blocks, which are interconnected with heavily segmented buses in an energy optimal fashion for communication. We optimize the network wires energy dissipation in two separate, but related steps: minimizing the number of segments for active communication paths at the first step (block ordering), followed by the activity aware floorplanning step to minimize the physical length of these segments. Energy gains of up to a factor of 4 are achieved compared to a standard system implementation using a shared bus. Especially, the block ordering step contributes significantly to the network energy optimization process.