Victim alignment in crosstalk aware timing analysis

  • Authors:
  • Ravikishore Gandikota;Kaviraj Chopra;David Blaauw;Dennis Sylvester;Murat Becer;Joao Geada

  • Affiliations:
  • University of Michigan, Ann Arbor, MI;University of Michigan, Ann Arbor, MI;University of Michigan, Ann Arbor, MI;University of Michigan, Ann Arbor, MI;CLK Design Automation, Littleton, MA;CLK Design Automation, Littleton, MA

  • Venue:
  • Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

Modeling the effect of coupling noise on circuit delay is a key issue in static timing analysis (STA) and involves the "victim-aggressor alignment" problem. As delay-noise depends strongly on the skew between the victim-aggressor input transitions', it is not possible to apriori identify the victim input transition that results in the latest arrival time at the victim. Several approaches that heuristically search for the worst-case victim-aggressor alignment have been proposed in literature. In this paper we present an analytical result that obviates the need to search for the worst-case victim input transition, thereby simplifying the victim-aggressor alignment problem significantly. Using the properties of standard nonlinear CMOS drivers, we show that regardless of the switching of the aggressors, the worst-case victim input transition is the one that switches at the latest point in its timing window. Although this result has been empirically observed in the industry, to the best of our knowledge, this is the first work that provides a rigorous analysis and shows that the result holds for both linear and non-linear drivers. We also show that limiting the alignment of the victim to only the latest victim input transition can significantly reduce the runtime of existing heuristic techniques with no loss of accuracy.