Driver modeling and alignment for worst-case delay noise

  • Authors:
  • Supamas Sirichotiyakul;David Blaauw;Chanhee Oh;Rafi Levy;Vladimir Zolotov;Jingyan Zuo

  • Affiliations:
  • Motorola Inc. Austin, TX;Motorola Inc. Austin, TX;Motorola Inc. Austin, TX;Motorola Semiconductor Israel Ltd. Tel Aviv, Israel;Motorola Inc. Austin, TX;Motorola Inc. Austin, TX

  • Venue:
  • Proceedings of the 38th annual Design Automation Conference
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we present a new approach to model the impact of cross-coupling noise on interconnect delay. We introduce a new linear driver model that accurately models the noise pulse induced on a switching signal net due to cross coupling capacitance. The proposed model effectively captures the non-linear behavior of the victim driver gate during the transition and has an average error below 8% whereas the traditional approach using a Thevenin model incurs an average error of 48%. We also discuss the worst case alignment of the aggressor net transitions with respect to the victim net transition, emphasizing the need to maximize not merely the delay of the interconnect alone but the combined delay of the interconnect and receiver gate. We show that the worst case alignment of an aggressor net transition is a function of the receiver gate output loading, victim transition edge rate, and the noise pulse width and height and hence propose a pre-characterization approach to efficiently predict the worst-case alignment. The proposed methods were implemented in an industrial noise analysis tool called ClariNet. Results on industrial designs are presented to demonstrate the effectiveness of our approach.