SafeResynth: A new technique for physical synthesis

  • Authors:
  • Kai-hui Chang;Igor L. Markov;Valeria Bertacco

  • Affiliations:
  • Department of EECS, The University of Michigan, 2260 Hayward St., Ann Arbor, MI 48109-2121, USA;Department of EECS, The University of Michigan, 2260 Hayward St., Ann Arbor, MI 48109-2121, USA;Department of EECS, The University of Michigan, 2260 Hayward St., Ann Arbor, MI 48109-2121, USA

  • Venue:
  • Integration, the VLSI Journal
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Physical synthesis is a relatively young field in Electronic Design Automation. Many published optimizations for physical synthesis end up hurting the quality of the final design, often because they neglect important physical aspects of the layout, such as long wires or routing congestion. Our work defines and explores the concept of physical safeness and evaluates empirically its impact on route length, via count and timing. In addition, we propose a new physically safe and logically sound optimization, called SafeResynth, which provides immediately measurable improvements without altering the design's functionality. SafeResynth can enhance circuit timing without detrimental effects on route length and congestion. We achieve these improvements by performing a series of netlist transformations and re-placements that are individually evaluated for logical soundness (that is, they do not alter the logic functionality) and for physical safeness. When used alone, SafeResynth improves circuit delay of IWLS'05 benchmarks by 11% on average after routing, while increasing route length by less than 0.2%. Since transistors are not affected by SafeResynth, it can also be applied to post-silicon debugging, where only metal fixes are possible.