Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

  • Authors:
  • Ivan Miro-Panades;Fabien Clermidy;Pascal Vivet;Alain Greiner

  • Affiliations:
  • -;-;-;-

  • Venue:
  • NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper presents a physical implementation of the DSPIN network-on-chip in the FAUST architecture. FAUST is a stream-oriented multi-application SoC platform for telecommunications addressing IEEE 802.11a and MC-CDMA standards. The original asynchronous network-on-chip (ANOC) of FAUST has been replaced by the multi-synchronous DSPIN network-on-chip. In this paper, we analyze how the DSPIN network-on-chip, originally designed to support shared memory and multi-processors architectures, can support stream-oriented architectures. The physical implementation of both ANOC and DSPIN are presented. Finally, a comparison between ANOC and DSPIN designs in a 130nm technology is carried out in terms of area, throughput, packet latency, and power consumption.