A Multi-Shared Register File Structure for VLIW Processors

  • Authors:
  • Guillermo Payá-Vayá;Javier Martín-Langerwerf;Peter Pirsch

  • Affiliations:
  • Institute of Microelectronic Systems, Leibniz Universität Hannover, Hannover, Germany;Institute of Microelectronic Systems, Leibniz Universität Hannover, Hannover, Germany;Institute of Microelectronic Systems, Leibniz Universität Hannover, Hannover, Germany

  • Venue:
  • Journal of Signal Processing Systems
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

The available instruction level parallelism allowed by current register file organizations is not always fully exploited by media processors when running a multimedia application. This paper introduces a novel register file organization, called multi-shared register file, that eliminates this superfluous instruction scheduling flexibility by reducing the number of read and write ports and partitioning the register file in a special ring structure. A parameterized generic VLIW architecture is used to explore different configurations of our proposed register file structure in terms of estimated silicon area, minimum clock period, estimated power consumption, and multimedia task processing performance. Moreover, a metric highly related to multimedia applications is introduced to study trade-offs between hardware cost and performance. The results show that by substituting a monolithic register file with an equivalent multi-shared register file, the estimated area and the power consumption are considerably reduced at the cost of a negligible performance degradation.