CACTI-FinFET: an integrated delay and power modeling framework for FinFET-based caches under process variations

  • Authors:
  • Chun-Yi Lee;Niraj K. Jha

  • Affiliations:
  • Princeton University, Princeton, New Jersey;Princeton University, Princeton, New Jersey

  • Venue:
  • Proceedings of the 48th Design Automation Conference
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present CACTI-FinFET, an integrated framework for simulation of power, delay, temperature, as well as process variations of FinFET-based caches. We have developed a FinFET design library and process variation models to characterize the delay and leakage spreads of such caches. We present results for various FinFET design styles and show that mixing different design styles may be a promising strategy for optimizing cache delay and leakage.